Welcome![Sign In][Sign Up]
Location:
Search - vhdl modulation

Search list

[VHDL-FPGA-VerilogMPSK调制与解调VHDL程序与仿真

Description: MPSK调制与解调VHDL程序与仿真,具有很高的参考价值!!vhdl代码!-MPSK modulation and demodulation process and VHDL simulation, high reference value! ! VHDL code!
Platform: | Size: 79872 | Author: 温暖感 | Hits:

[VHDL-FPGA-VerilogPL_FSK

Description: 数字通信系统通信系统调制解调(PL_FSK)VHDL建模,包括发送和接受模块-Digital Communication System Communication System modulation and demodulation (PL_FSK) VHDL modeling, including sending and receiving modules
Platform: | Size: 187392 | Author: 万金油 | Hits:

[VHDL-FPGA-VerilogFSKmodemodulateVHDLprogramme

Description: FSK调制与解调的vhdl源代码与仿真指导,是word文档打开。-FSK modulation and demodulation of VHDL source code and simulation of the guide is the word document open.
Platform: | Size: 52224 | Author: 吴涛 | Hits:

[VHDL-FPGA-VerilogASK.VHDL

Description: ASK调制VHDL程序及仿真 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-ASK modulation VHDL simulation based on the procedures and VHDL hardware description language, the baseband signal amplitude modulation ASK
Platform: | Size: 41984 | Author: 少龙 | Hits:

[VHDL-FPGA-VerilogPSK.VHDL

Description: CPSK调制VHDL程序及仿真 基于VHDL硬件描述语言,对基带信号进行调制-CPSK modulation VHDL simulation based on the procedures and VHDL hardware description language, the baseband signal modulation
Platform: | Size: 72704 | Author: 少龙 | Hits:

[source in ebooksome-usful-vhdl-source-code

Description: 一些实用的VHDL源码,有各种信号调制的,还有LCD控制的,出租车计价器等等源码。-some practical VHDL source code, a variety of signal modulation, there is the LCD control. taximeters, etc. source.
Platform: | Size: 1278976 | Author: 雨风 | Hits:

[Communication16QAMModulationVHDL

Description: 实现16QAM系统调制仿真,附件里面WORD文档是整合的程序,其他的是源文件-Realize 16QAM modulation system simulation, annex inside the WORD document is an integrated process, the other is the source file
Platform: | Size: 9216 | Author: 丁巍 | Hits:

[VHDL-FPGA-Verilogalaw

Description: 使用VHDL实现通信脉冲编码调制(PCM)中的a律转换,并实现串并、并串转换。-Use VHDL to achieve communication pulse code modulation (PCM) of a law conversion, and to achieve and string, and string conversion.
Platform: | Size: 5120 | Author: wl | Hits:

[VHDL-FPGA-VerilogPSK_VHDL

Description: CPSK调制VHDL程序 --文件名:PL_CPSK --功能:基于VHDL硬件描述语言,对基带信号进行调制 -VHDL procedures CPSK modulation- the file name: PL_CPSK- features: VHDL hardware description language based on the base-band signal modulation
Platform: | Size: 72704 | Author: huangsong | Hits:

[ELanguageQPSKvhdl

Description: QPSK的VHDL调制解调 FPGA设计思路思想-QPSK modulation and demodulation of the VHDL design thinking FPGA
Platform: | Size: 1615872 | Author: liming | Hits:

[VHDL-FPGA-VerilogVHDL

Description: PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路;  FPGA中正/反转方向控制电路 -PWM control is a certain cycle, a different duty cycle square wave signal, when the duty cycle is high, higher motor speed, or lower motor speed. When the PWM waveform generated using FPGA, the FPGA internal resources only can be achieved, the figure one comparator output termination setting, the other linear incremental counter output termination. When the value of linear counter output is less than low-level settings, when the counter output is greater than high settings, so that by changing the settings can produce different duty cycle square wave signal, DC motor control to achieve the purpose of speed. DC motor control circuit mainly by 2 parts, as shown in Figure 1:  FPGA in the PWM pulse width modulation signal generator circuit Chiang Kai-shek  FPGA/reverse direction control circuit
Platform: | Size: 37888 | Author: 袁玉佳 | Hits:

[VHDL-FPGA-Verilogpwm__vhdl

Description: 一个基于vhdl语言的脉冲宽度调制。并且有两个脉冲输出-Vhdl language-based pulse width modulation. And two pulse output
Platform: | Size: 904192 | Author: 邹细男 | Hits:

[VHDL-FPGA-VerilogFSK_PSK_tiaozhidianlusheji

Description: FSK/PSK调制电路设计,基于vhdl和quartus2-FSK/PSK modulation circuit design, based on the vhdl and quartus2
Platform: | Size: 27648 | Author: neversee | Hits:

[source in ebookVHDL

Description: 16QAM调制器的Verilog HDL程序,可以实现16QAM调制-16QAM modulator Verilog HDL procedures, 16QAM modulation can be achieved
Platform: | Size: 1024 | Author: 吴丹 | Hits:

[SCMdds

Description: 基于DDS的调频调相 通过改变频率控制字来控制 程序编译过 搭过硬件 可以实现-FM Based on DDS phase modulation by changing the frequency control word to control the program compiled the hardware can be achieved take-off
Platform: | Size: 459776 | Author: 梁梁 | Hits:

[VHDL-FPGA-Verilogmodule_dem

Description: 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
Platform: | Size: 6068224 | Author: yu | Hits:

[VHDL-FPGA-VerilogCPFSK

Description: CPFSK调制的相关资料文档,有一定的参考意义。-CPFSK modulation information documents, there is a certain reference value.
Platform: | Size: 578560 | Author: niuniu | Hits:

[DSP programfangz

Description: 数字通信系统的仿真,包括调制解调,上下变频,加入了高斯白噪声,并且每个步骤都生成相应的图形-Digital communication system simulation, including the modulation and demodulation, the upper and lower frequency, by adding Gaussian white noise, and each step generates the appropriate graphics
Platform: | Size: 34816 | Author: maominchao | Hits:

[VHDL-FPGA-VerilogASK-VHDL

Description: 基于VHDL硬件描述语言,对基带信号进行ASK振幅调制-VHDL hardware description language based on the ASK baseband amplitude modulation signal
Platform: | Size: 41984 | Author: 海玲 | Hits:

[VHDL-FPGA-VerilogPSK-VHDL

Description: PSK调制与解调VHDL程序及仿真,仿真通过-PSK modulation and demodulation process, and VHDL simulation, simulation by
Platform: | Size: 72704 | Author: 海玲 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 18 »

CodeBus www.codebus.net